Iimveliso

10M08SAU169C8G Qhagamshelana nenkonzo yabathengi(21+intengiso yendawo)

Inkcazelo emfutshane:

Inombolo yeCandelo leBoyad : 544-3135-ND
umenzi: Intel
Inombolo yemveliso yomvelisi: 10M08SAU169C8G
chaza: IC FPGA 130 I / O 169UBGA
Inkcazelo eneenkcukacha: series Field Programmable Gate Array (FPGA) IC 130 387072 8000 169-LFBGA
Inombolo yaNgaphakathi yoMthengi
Iinkcukacha: Iinkcukacha


Iinkcukacha zeMveliso

Iithegi zeMveliso

iimpawu zemveliso

UHLOBO CHAZA
udidi ISekethe eDityanisiweyo (IC)
Ihlonyelwe - FPGA (UMmandla oLungiselelayo weSango)
umenzi Intel
uthotho MAX® 10
Iphakheji itreyi
ubume bemveliso ikhona evenkileni
Inani le-LAB/CLB 500
Inani lengqiqo/iiyunithi 8000
Iibits ze-RAM zizonke 387072
I/O count 130
I-Voltage - Inamandla 2.85V ~ 3.465V
uhlobo lofakelo Uhlobo lweNtaba yoMphezulu
Ubushushu bokusebenza 0°C ~ 85°C (TJ)
Ipakethe/Indawo ebiyelweyo 169-LFBGA
Supplier Device Packaging 169-UBGA (11x11)

Xela impazamo
Uphendlo olutsha lweParametric

Amaxwebhu kunye neMidiya

UHLOBO LWEZIBONELELO LINK
Iinkcukacha MAX 10 FPGA Overview MAX 10 FPGA Device Datasheet
Iimodyuli zoqeqesho lwemveliso MAX10 Ulawulo lweeMoto usebenzisa i-Single-Chip yexabiso eliphantsi engaguquguqukiyo iFPGA  MAX10 Ngokusekwe kuLawulo lweNkqubo
iveliso ezifakiwe Iqonga leT-CoreEvo M51 Compute Modyuli I-Hinj™ FPGA Sensor Hub kunye neKit yoPhuhliso I-XLR8: IBhodi yoPhuhliso lwe-FPGA ehambelana neArduino
Uyilo lwePCN/Inkcazo Max10 Pin Guide 3/Dec/2021I-Mult Dev Software Chgs 3/Jun/2021
Iphakheji yePCN IMult Dev Label Chgs 24/Feb/2020Ileyibhile ye-Mult Dev CHG 24/Jan/2020
Iinkcukacha zeHTML MAX 10 FPGA OverviewMAX 10 FPGA Device Datasheet
Imodeli ye-EDA/CAD 10M08SAU169C8G ngu-SnapEDA

Ulwahlulo lokusiNgqongileyo nokuThunyelelwa kwamanye amazwe

IIMPAWU CHAZA
Ubume beRoHS RoHS iyahambelana
iNqanaba lokuSenza ukufuma (MSL) 3 (168 iiyure)
FIKA ubume Iimveliso ezinga-REACH
ECCN 3A991D
HTSUS 8542.39.0001

Abaphindaphindi abaNxibelelweyo kunye neNkxaso yeDijithali yokuLungiswa koMqondiso
Ukuya kuthi ga kwi-17 isiphelo esisodwa samagalelo angaphandle
kwizixhobo ze-ADC enye
I-analog enye ezinikeleyo kunye ne-16 yezikhonkwane zokufaka umsebenzi ombini
Ukuya kuthi ga kwi-18 ekuphela kwegalelo langaphandle
kwizixhobo ezimbini ze-ADC
• I-analog enye ezinikeleyo kunye nezikhonkwane ezisibhozo ezisebenza kabini kwibhloko nganye ye-ADC
• Isakhono sokulinganisa ngaxeshanye kwizixhobo ezimbini ze-ADC
Isivamvo seqondo lobushushu esikwi-chip Sijonga igalelo ledatha yobushushu bangaphandle ngomlinganiselo wesampulu ukuya kuthi ga kwi-50
iikilograms ngesekhondi
Umsebenzisi weMemori yeFlash
Umsebenzisi wememori ye-flash (UFM) ibhloko kwi-Intel MAX 10 izixhobo ezigcina ezingaguqukiyo
ulwazi.
I-UFM inikezela ngesisombululo esifanelekileyo sokugcina onokufikelela usebenzisa i-Avalon Memory Mapped (Avalon-MM) protocol interface yekhoboka.
Abaphindaphindi abaNxibelelweyo kunye neNkxaso yeDijithali yokuLungiswa koMqondiso
Izixhobo ze-Intel MAX 10 zixhasa ukuya kuthi ga kwi-144 iibhloko zokuphindaphinda ezizinzisiweyo.Ibhloko nganye
ixhasa umntu omnye 18 × 18-bit umphindaphindi okanye ezimbini umntu 9 × 9-bit abaphindaphindi.
Ngokudityaniswa kwezixhobo ze-chip kunye nojongano lwangaphandle kwi-Intel MAX 10
izixhobo, unokwakha iinkqubo ze-DSP kunye nokusebenza okuphezulu, iindleko zenkqubo ephantsi, kunye nephantsi
Ukutya umbane.
Ungasebenzisa isixhobo se-Intel MAX 10 ngokwaso okanye njengesixhobo se-DSP co-processor ukuya
ukuphucula umlinganiselo wexabiso-kuya-ukusebenza kweenkqubo ze-DSP.
Ungalawula ukusebenza kweebhloko ezizinzisiweyo zokuphindaphinda usebenzisa oku kulandelayo
iinketho:
• Iparameterize iicores ze-IP ezifanelekileyo kunye nomhleli weparamitha ye-Intel Quartus Prime
• Ukuthelekisa abaphindaphindi ngokuthe ngqo ngeVHDL okanye iVerilog HDL
Iimpawu zoyilo lweSistim ezibonelelwe ngezixhobo ze-Intel MAX 10:
• DSP IP cores:
- Imisebenzi eqhelekileyo yokucubungula i-DSP efana nempendulo ye-impulse (FIR), ngokukhawuleza
I-Fourier transform (FFT), kunye nemisebenzi ye-oscillator elawulwa ngamanani (NCO).
—Iisuti zevidiyo eziqhelekileyo kunye nemisebenzi yokuseta imifanekiso
• Gqibezela uyilo lwereferensi yezicelo zentengiso yokugqibela
• I-DSP Builder ye-Intel FPGAs isixhobo sojongano phakathi kwe-Intel Quartus Prime
isoftware kunye neMathWorks Simulink kunye nemeko yoyilo lweMATLAB
• Izixhobo zophuhliso zeDSP
Iibhloko zeMemori ezizinzisiweyo
Ulwakhiwo lwememori olufakelweyo luqulathe i-M9K yeebhloko zeekholomu.Nganye iM9K
ibhlokhi yememori yesixhobo se-Intel MAX 10 ibonelela nge-9 KB yememori ye-chip ekwaziyo
isebenza ukuya kuthi ga kwi-284 MHz.Ulwakhiwo lwememori olufakelweyo lubandakanya i-M9K
iibhloko zememori ikholamu.Ibhloko nganye yememori ye-M9K yesixhobo se-Intel MAX 10 sibonelela
I-9 KB yememori ye-chip.Uyakwazi ukuphosa iibhloko zememori ukwenza banzi okanye nzulu
izakhiwo zokuqiqa.
Ungaqwalasela iibhloko zememori ye-M9K njenge-RAM, i-FIFO buffers, okanye i-ROM.
Iibhloko zememori ze-Intel MAX 10 zesixhobo zilungiselelwe izicelo ezinje phezulu
ukusetyenzwa kwepakethi yokuphuma, inkqubo yeprosesa elungisiweyo, kunye nedatha elungisiweyo
yokugcina.


  • Ngaphambili:
  • Okulandelayo:

  • Shiya uMyalezo Wakho

    Iimveliso ezinxulumeneyo

    Shiya uMyalezo Wakho