Iimveliso

SPC5604BF2MLH4 (imigaqo yemoto ifumaneka ngokufutshane)

Inkcazelo emfutshane:

Inombolo yeCandelo leBoyad:SPC5604BF2MLH4-ND

umenzi:Inkampani NXP USA INC.

Inombolo yemveliso yomvelisi: SPC5604BF2MLH4

chaza: IC MCU 32BIT 512KB IFLESHI 64LQFP

Ixesha lokuhanjiswa kwemveliso esemgangathweni: iiveki ezingama-39

Inkcazo eneenkcukacha:e200z0h series microcontroller IC 32-bit core single 48MHz 512KB (512K x 8) Flash 64-LQFP (10×10)

Inombolo yaNgaphakathi yoMthengi

 


Iinkcukacha zeMveliso

Iithegi zeMveliso

iimpawu zemveliso:

UHLOBO CHAZA
udidi ISekethe eDityanisiweyo (IC) Ifakwe - Microcontrollers
umenzi Inkampani NXP USA INC.
uthotho MPC56xx Qorivva
Iphakheji itreyi
ubume bemveliso ikhona evenkileni
iprosesa engundoqo e200z0h
Ukucaciswa kweKernel 32-bit core enye
isantya 48MHz
Uqhagamshelwano CANbus,I²C,LIN,SCI,SPI
Iiperipherals DMA , POR , PWM , WDT
Inani le-I / O 45
Inkqubo yokugcina umthamo 512KB (512K x 8)
Uhlobo lwememori yenkqubo flash
EEPROM umthamo 64K x 8
Ubungakanani be-RAM 32K x 8
I-Voltage-Ubonelelo lwaMandla (Vcc/Vdd) 3V ~ 5.5V
isiguquli sedatha A/D 12x10b
Uhlobo lweOscillator ngaphakathi
Ubushushu bokusebenza -40°C ~ 125°C (TA)
uhlobo lofakelo Uhlobo lweNtaba yoMphezulu
Ipakethe/Indawo ebiyelweyo 64-LQFP
Supplier Device Packaging 64-LQFP (10x10)
Inombolo yemveliso esisiseko SPC5604

Ulwahlulo lokusiNgqongileyo noThunyelo ngaphandle:

IIMPAWU CHAZA
Ubume beRoHS Iyahambelana neenkcukacha ze-ROHS3
iNqanaba lokuSenza ukufuma (MSL) 3 (168 iiyure)
FIKA ubume Iimveliso ezinga-REACH
BALEKA 3A991A2
HTSUS 8542.31.0001

Inkcazo ngokubanzi:
ishwankathela imisebenzi yazo zonke iibhloko ezikhoyo kwi-MPC5604B/C series of microcontrollers.
Nceda uqaphele ukuba ubukho kunye nenani leebhloko ziyahluka ngesixhobo kunye nepakethi
Isiguquli se-Analog-to-digital (ADC) Imijelo emininzi, i-10-bit ye-analog-to-digital converter
Imodyuli yokuncedisa ukuqalisa (BAM) Ibhloko yenkumbulo yokufunda-kuphela equlethe ikhowudi yeVLE eyenziwa ngokungqinelana
kwimowudi yokuqalisa isixhobo
Iyunithi yokujonga iwotshi (CMU) Ibeka iliso kumthombo wewotshi (ngaphakathi nangaphandle) imfezeko
Cross triggering unit (CTU) Yenza ungqamaniso lweenguquko ze-ADC kunye nesiganeko sesibali-xesha esivela kwi-eMIOS
okanye ukusuka kwi-PIT
I-Deserial ye-peripheral interface
(DSPI)
Ibonelela nge-synchronous serial interface yonxibelelwano kunye nezixhobo zangaphandle
Imodyuli yesimo sokulungisa imposiso
(ECSM)
Ibonelela ngentaphane yemisebenzi yolawulo eyahlukeneyo yesixhobo kubandakanya
ulwazi olubonakalayo lwenkqubo malunga noqwalaselo kunye namanqanaba ohlaziyo, imo yokusetha ngokutsha
irejista, ulawulo wakeup yokuphuma iindlela zokulala, kunye neempawu ozikhethelayo ezifana
ulwazi kwiimpazamo zememori ezichazwe ngeekhowudi zokulungisa iimpazamo
UFikelelo oluNgqo kwiMemori eYomeleziweyo
(eDMA)
Yenza ugqithiso lwedatha oluntsonkothileyo ngongenelelo oluncinci oluvela kumqhubekekisi wamamkeli
ngokusebenzisa “n” amajelo acwangcisekileyo.
Imveliso yegalelo lemodyuli eyongeziweyo
inkqubo (eMIOS)
Ibonelela ngomsebenzi wokuvelisa okanye ukulinganisa iziganeko
Imemori yeFlash Ibonelela ngogcino olungaguquguqukiyo lwekhowudi yenkqubo, izinto eziguquguqukayo kunye neziguquguqukayo
I-FlexCAN (inethiwekhi yendawo yomlawuli) Ixhasa iprotocol yonxibelelwano ye-CAN eqhelekileyo
I-Frequency-modulated
I-loop etshixiwe ngesigaba (FMPLL)
Ivelisa iiwotshi zenkqubo enesantya esiphezulu kwaye ixhasa i-frequency programmable
ukumodareyitha
I-multiplexer yangaphakathi (IMUX) SIU
ibhlokhi encinci
Ivumela imephu ebhetyebhetye yojongano lomda kwiiphini ezahlukeneyo zesixhobo
I-Inter-integrated circuit (I2C™) ibhasi.
utshintshiselwano lwedatha phakathi kwezixhobo
Isilawuli sokuphazamiseka (INTC) Ibonelela ngocwangco olusekwe ngqangi kokuphambili kwezicelo zokuphazamiseka
Umlawuli we-JTAG Ubonelela ngeendlela zokuvavanya ukusebenza kwetshiphu kunye noqhagamshelo ngelixa eseleyo
ekuhleni kwingqiqo yesixokelelwano xa ingekho kwindlela yovavanyo
Umlawuli we-LINFlex Ulawula inani eliphezulu le-LIN (i-Local Interconnect Network protocol) imiyalezo
ngokufanelekileyo kunye nomthwalo omncinci we-CPU
Imodyuli yokuvelisa iwotshi
(MC_CGM)
Ibonelela ngengqiqo kunye nolawulo olufunekayo ekuveliseni inkqubo kunye ne-peripheral
iiwotshi
Imodyuli yongeniso lwendlela (MC_ME) Ibonelela ngendlela yokulawula imo yokusebenza yesixhobo kunye nemowudi
ulandelelwano lwenguqu kuwo onke amazwe asebenzayo;ikwalawula iyunithi yolawulo lwamandla,
seta ngokutsha imodyuli yokuvelisa kunye nemodyuli yokuvelisa iwotshi, kwaye ibambe i
uqwalaselo, ulawulo kunye neerejista zobume ziyafikeleleka kwizicelo
Iyunithi yolawulo lwamandla (MC_PCU) Yehlisa ukusetyenziswa kwamandla ngokubanzi ngokuqhawula iindawo zesixhobo
ukusuka kunikezelo lwamandla ngesixhobo sokutshintsha amandla;izixhobo zesixhobo zi
zidityaniswe kumacandelo abizwa ngokuba “yimimandla yamandla” alawulwa yiPCU
Seta kwakhona imodyuli yokuvelisa
(MC_RGM)
Ubeka kwindawo enye imithombo kwaye ulawule ukusetwa ngokutsha kwesixhobo ukulandelelana kwesixhobo.


  • Ngaphambili:
  • Okulandelayo:

  • Shiya uMyalezo Wakho

    Iimveliso ezinxulumeneyo

    Shiya uMyalezo Wakho